EUV Chipmaking Inches Forward

ASML says extreme-ultraviolet-light machines should be bright enough for commercial production by 2015

5 min read

EUV Chipmaking Inches Forward
Photo: Michael Kooren/Reuters

07NW.EUV.MichaelKoorenReutersStill Working On It: An employee on his way out of the EUV room at ASML's Veldhoven, The Netherlands, facility. ASML thinks extreme ultraviolet lithography will be ready in time for chip makers to use it.Photo: Michael Kooren/Reuters

Small is hard. Anyone who doubts that need only look at the history of extreme-ultraviolet (EUV) lithography. The chip industry has long hoped to use the short-wavelength light to make circuits that are cheaper and denser, but the technology, which requires sophisticated plasma-based light sources and new optics, has suffered repeated delays.

But there might just be light at the end of the tunnel. In July, at the semiconductor industry conference Semicon West, in San Francisco, representatives from EUV machine manufacturer ASML outlined a concrete plan that will put machines into the production lines of wafer fabs. With some boosts in laser power and a few other adjustments, the company now expects the workhorse EUV machines to be ready by 2015. That should be just in time to pattern the tiny transistors in the industry’s 10-nanometer node, the generation after the next generation of logic chips.

EUV machines use 13.5-nm light to draw far finer features than today’s 193-nm lithography machines can create. But the insufficient brightness of the light source has made commercialization difficult. The dimmer the light, the longer each wafer must be exposed, and the longer it takes to make each chip.

Estimates vary on how much throughput would make the machines economical; ASML’s goal is to eventually produce 125 wafers per hour with its first production-level machine, the NXE:3300, which is shipping this year. At that rate, ASML expects that 250 watts of EUV light will be required.

At the SPIE Advanced Lithography conference held in San Jose, Calif., in February, lithography light-source maker Cymer announced that researchers there had pushed light levels up to 55 W in one of ASML’s previous-generation machines, the “preproduction” NXE:3100. At that level of brightness, the machine would be capable of exposing 43 wafers per hour.

That’s still well behind some sunny predictions made two years ago, when the company said it expected to boost the power to 80 W by the end of 2011. But Skip Miller, ASML’s director of strategic marketing, says many of the major kinks in EUV light production have been worked out and that the company is more confident the light source brightness can be boosted all the way to 250 W. “We can now build systematically a plan to get to 250 W,” Miller says.

Cymer, which ASML acquired for US $3.7 billion earlier this year, uses an approach called laser-produced plasma to create EUV light. Falling droplets of molten tin are vaporized by a carbon dioxide laser. As the tin cools and its excited electrons relax, EUV light is emitted and then used to cast patterns on a wafer.

Miller says the company was able to achieve a big boost in brightness by first hitting each tin droplet with a lower-power laser. This “prepulse” flattens the droplet into a pancake shape, increasing its surface area and boosting the amount of material that is ultimately heated by the main laser. Miller says this approach can be ported over to the company’s 3300 machine, which incorporates a higher-power laser, and that ASML should be able to demonstrate as much as 80 W by the end of the year.

Cymer’s approach is the only one ASML is pursuing at the moment. A few years ago, an alternate approach, pioneered by Xtreme Technologies, of Aachen, Germany, looked like a direct competitor. But in May, the Tokyo-based lighting firm Ushio announced that it would close down Xtreme. ASML has adopted maintenance services for two existing machines, but development is lagging. “For EUV, [Cymer] will be that source,” Miller says. “There is not another viable source out there.”

Miller says the focus over the past few months has been showing the source is not just bright, but reproducibly so, from droplet to droplet over dozens of hours. “We’ve run 4 billion pulses—40 hours’ worth—and we’ve shown the same reflectance,” Miller says, adding that this is no trivial feat. For one thing, tin can splash back onto the main mirror of the machine, which would reduce its ability to funnel light to wafers. The company has developed a few strategies to prevent the problem, one of which is to run a steady stream of hydrogen gas across the mirror to carry the material away.

The semiconductor research firm Imec, based in Leuven, Belgium, is one of the recipients of the new 3300 machine. “The resolution is excellent,” says An Steegen, senior vice president for process technology development. “I think the last year has shown a lot of progress.”

But, Steegen notes, light-source power is still a struggle. Researchers are also still working out ways to protect and improve the quality of EUV masks – special plates that are used to pattern wafers with reflected light. These issues must be overcome if EUV is to make it into production lines at 10 nm. “This is the node when EUV has to happen, when it would really make a difference,” Steegen says.

For the moment, the industry is making do with 193-nm lithography. Over the years, chipmakers have introduced ways to boost its effectiveness. They have added water to increase the numerical aperture of the system, which boosts resolution. And lately they have doubled the number of exposures at certain steps to make finer features.

This strategy is expected to get increasingly more expensive as chips are packed more and more densely with devices and triple or even quadruple patterning is required. And some industry experts note that the fix is not without its compromises: Device features produced in this way are not as sharply defined and uniform as those of earlier generations, and this reduces performance.

Some firms are exploring other technologies that could help improve 193-nm patterning, should EUV delays continue. CEA-Leti, a research consortium based in Grenoble, France, is experimenting with two leading alternatives: e-beam lithography, which shoots electrons directly at a wafer to draw features, and directed self-assembly, which relies on the self-arrangement of polymers into nanoscale patterns. (Imec is also researching the latter approach.)

Directed self-assembly might be useful for only a certain subset of tasks. E-beam could, in principle, be used instead of photolithography, but its development has lagged. “E-beam lacks the support of the industry,” says Serge Tedesco, manager of Leti’s lithography program. “I think if [it had] real support 10 years ago and money had been put into it, we would have a solution today.”

But the research institute is attempting to play catch-up. Later this year, Tedesco and his colleagues plan to be the first to expose a wafer using an e-beam machine made by Mapper Lithography, a firm based in Delft, Netherlands. The machine, which will be capable of exposing one wafer per hour, will filter electrons through the center of a sievelike screen in order to create 1300 individual electron beams.

With a better electron source, the machine should ultimately be able to transmit 13 000 electron beams, enough to expose 10 wafers an hour. By cobbling 10 of these systems together, the firm hopes to create an e-beam machine capable of processing 100 wafers per hour.

But the e-beam milestones are even further away than the EUV ones. Leti expects to perform its first wafer exposure with 13 000 beams by the first quarter of 2015, ramping up to 10 wafers per hour over the following year.

Still, Tedesco says that e-beam technology—as well as directed self-assembly— could be important complements to 193-nm lithography, because they could take over some of the most demanding and difficult patterning duties. These technologies might just obviate the need for EUV, allowing chipmakers to extend optical lithography to the most advanced semiconductor nodes—not just a generation or two but all the way down “to the end of the road map” he says. “I say that to get people’s attention.”

A correction to this article was made on 31 July 2013.

The Conversation (0)