EUV Faces Its Most Critical Test

2012 will be the make-or-break year for extreme ultraviolet lithography

7 min read
ASML’s second-generation tool for extreme ultraviolet lithography
Silicon Savior? ASML’s second-generation tool for extreme ultraviolet lithography is under evaluation.
Photo-IllustratIon: ASML

Moore’s Law needs a hero. This year, we’ll see if the chip business’s designated savior—extreme ultraviolet (EUV) lithography—is really up to the task.

After decades of bringing us the incredible shrinking transistor, chipmakers are now hard up against the limits of their printing technique: Trying to use today’s ultraviolet lasers to print the next generation of circuits would be like trying to trace a fine line with a preschooler’s crayon.

To get a sharp enough pencil, the semiconductor industry has turned to EUV lithography. The radiation chosen for the job has a wavelength of 13.5 nanometers, around the size of the features on the next generation of chips; today’s setup, which uses light with a wavelength of 193 nm, requires a series of optical tricks to write features even twice that size. To many in the semiconductor industry, EUV lithography is the only realistic option.

graphic link to special report

But you’ve probably heard that line before.

Fifteen years ago, researchers predicted that by about 2006, EUV chips would roll out commercially at the 65-nm node. And yet, six years later, engineers are still struggling with the dim, finicky light source at the heart of the process—despite having spent at least US $1 billion on development.

Although EUV lithography isn’t ready for prime time, the technology is “not just an R&D project anymore,” insists Michael Lercel, senior director of EUV product marketing at light-source manufacturer Cymer. Starting in the second half of this year, the NXE:3300, the first EUV lithography system intended for commercial chipmaking, will begin shipping to clients. The Dutch company ASML Holding, the NXE:3300’s maker and the only chip-manufacturing-tool firm making EUV tools, predicts that leading-edge manufacturers will be incorporating its system into production in 2013 or 2014.

“The industrial momentum is definitely on EUV’s side,” says Burn Lin, vice president of research and development at Taiwan Semiconductor Manufacturing Co. (TSMC), the world’s biggest independent semiconductor foundry. Companies like TSMC and Intel must settle on a lithography system as many as three years before chips go into production. The unrelenting exigencies of Moore’s Law demand that manufacturers crank out chips at the 14-nm node by the end of 2013 or in 2015 at the latest. To do so with EUV lithography, they need to start retooling their fabs now.

Even if ASML delivers the NXE:3300s on schedule, there’s a problem. By ASML’s own admission, these machines still produce chips too slowly. If engineers can’t get them to pick up the pace, the resulting chips will be so expensive that chipmakers will have little choice but to abandon EUV lithography. Because the industry has already invested so much, that would be bad—bad for ASML, bad for the semiconductor industry, bad even, perhaps, for the high-tech sector that has come to take for granted Moore’s Law and its biannual bounty of doubled transistor densities.

But let’s not get hysterical just yet. When the NXE:3300s are delivered, EUV lithography will be put to the only test that counts, that of the market. The progress chipmakers are able to make with EUV lithography this year will determine if that billion dollars was worth it—or wasted.

For approximately 50 years, optical lithography has been used, in one form or another, to pattern semiconductor wafers. Today, deep ultraviolet light from an argon fluoride laser is projected through stencil-like objects called masks onto a light-sensitive compound called a photoresist, which coats the silicon wafer. The photoresist hardens into a 3-D image of the mask on the wafer, protecting those spots from the rain of ions, baths of acid, and other processes needed to make minuscule transistors. The brighter the light, the faster it leaves its imprint on the photoresist and the more wafers chipmakers can churn out per hour. To tighten the focus of light on the photoresist, these days chipmakers circulate water between the focusing lens and the wafer.

Switching to EUV from deep ultraviolet is fraught with challenges. But “the main shortfall is the light source,” says Bill Arnold, chief scientist at ASML. Simply put, it’s really hard to create photons with a wavelength of 13.5 nm. And after you do create them, it’s difficult to simply steer them where you want them to go.

“Nature just doesn’t like EUV,” quips Chris Mack, former vice president of lithography for KLA-Tencor and editor of the Journal of Micro/Nanolithography, MEMS, and MOEMS. Neutral atoms (like those in argon fluoride lasers) don’t emit radiation at EUV wavelengths—only highly charged ions do. So engineers must create those ions by energizing tin droplets or gas into a superhot plasma. Unfortunately, the plasma emits the EUV photons in all directions, making it difficult to collect and focus them into a high-intensity beam. As a result, the second-generation prototype tools being tested now are producing just five to six wafers per hour, says Arnold. That’s an order of magnitude smaller than ASML’s current goal.

Even if ASML can get to 50 or 60 wafers per hour—which was its target for the end of 2011—that figure is still only a quarter of the throughput typical of one of today’s state-of-the-art immersion tools. The upshot is that EUV lithography’s future will largely depend on light source manufacturers’ ability to eke out more photons. A lot more photons.

The first of ASML’s EUV tools used a light source technology called discharge-produced plasma. Here, a lightning bolt’s worth of current arcs between two electrodes through a cloud of tin vapor, creating a plasma that reaches up to 200 000°C. Now packed with tin ions, the plasma radiates EUV light.

That strategy is now being pursued by the German company Xtreme Technologies, which has tweaked the technology by using a laser to create the initial tin gas cloud. Known as laser-assisted discharge plasma (LDP), the source can produce 30 watts of EUV light. Although that’s less than a third of what ASML’s goal was for the end of 2011, LDP sources have intensities comparable to those of competing sources, and they are inherently more power efficient when creating photons. But Xtreme ran into serious problems when it tried to scale up the light source. As more current is added to produce more EUV photons, generating that power and managing the heat it creates between the electrodes becomes more difficult.

Sidebar:EUV

Click on image for the full graphic view.

The other light source option, laser-produced plasma (LPP), seeks to avoid that problem by not using electrodes. In this technique, a carbon dioxide laser blasts moving tin droplets to produce the EUV-emitting plasma. In a recent improvement, an extra laser pulse makes a mist of the tin droplets before they get smacked with the CO2 laser. That increases the number of tin atoms that become ionized, and therefore the number of photons that are emitted.

LPP’s advantage is that the intensity of the laser can be scaled up enormously, at least in theory. “We can continue to increase the temperature and intensity of that plasma without worrying about wear on components,” insists Cymer’s Lercel.

These days, LPP seems to have the edge over LDP. But problems remain: Both Cymer and Japan-based Gigaphoton, which is also developing an LPP source for the NXE:3300, must filter out infrared light produced by the laser without losing EUV photons in the process. And both have had to mitigate damage from tin particles by diverting the debris, either by blowing it away with hydrogen (Cymer) or by pulling it away with a magnetic field (Gigaphoton).

Still, the EUV sources are too dim—way too dim. “We’re still not at the power levels we said we’d be at this point,” concedes Lercel. By the end of 2011, ASML’s road maps showed a goal of a 100-W light source, which would allow a respectable but not great throughput of 60 wafers per hour. As of last October, Cymer’s source was stuck at 30 W, theoretically enough to produce a measly 20 wafers per hour.

Manufacturers are focusing on source power for obvious reasons: Without reaching a higher wattage, EUV lithography won’t be any more economical than today’s plan B, which is to use a version of today’s 193-nm immersion lithography in which the wafers are exposed two, three, or even four times in order to make features that are fine enough. This technique, called multiple patterning, is also expensive, because it takes two to four times as long to write a single pattern on a wafer. Even so, to compete with multiple patterning, lithography guru Chris Mack estimates, EUV lithography must be able to support the production of 150 wafers per hour, which will require a light source with 200 to 350 W. ASML thinks that EUV lithography will be competitive at 70 wafers per hour and plans to hit 125 wafers per hour by mid-2013, which would take 250 W.

The throughput problem affects more than production efficiency—it has a drastic impact on the rate at which engineers can perform essential process development and troubleshooting. For example, with throughput at today’s levels, mask makers can’t determine how fast their masks will degrade from constant bombardment by high-energy photons. Resist makers similarly need access to EUV exposures and to know the final source power so they can design a chemical that will actually work at those intensities, says Mack. “All the resist suppliers say they need more tool time so they can run more samples,” says ASML’s Arnold.

Until those parts can be adequately tested, it’s unclear whether an unforeseen engineering challenge—an unknown unknown—will stop EUV lithography in its tracks. It’s happened before, says Mike Mayberry, vice president of Intel’s technology and manufacturing group and director of components research. A tool might have a bright light source and great optics, he says, but it may just as easily have an unexpected source of contamination or vibration: “You never know what will be the weakest link.”

“It’s like peeling an onion,” adds Mack. EUV lithography’s greatest problem is that manufacturers are just now drawing away its outer layers, and there are likely to be a lot of them.

With EUV, almost every element of the lithographic method needed to be redesigned: The entire process is conducted in a vacuum, it uses mirrors rather than lenses, and mask and resist technologies must be substantially altered to deal with the new wavelength. “This is the most challenging lithographic technology we’ve tried to implement in manufacturing,” says Harry Levinson, manager of strategic lithography technology at GlobalFoundries. “As an industry, we’re very good at making advances when one or two or three things have to change. But when we have to change 5 or 10, that’s when things get difficult.”

Sidebar:Moore's March

Click on image for the full graphic view.

Moore’s Law won’t grind to a screeching halt if EUV lithography isn’t ready, of course. Multiple patterning with 193-nm immersion will still act as the industry’s expensive safety net, and there are a number of more experimental technologies in development, including writing patterns using multiple electron beams, stamping circuits with nanoimprint devices, and the chemical trickery known as directed self-assembly. But EUV lithography is years ahead of any of these options in spending, and it continues to siphon off most of the R&D money.

The experimental alternative that’s closest to implementation might be electron-beam lithography. Those machines are still in earlier developmental stages than EUV lithography and have poor throughput, but this year TSMC is evaluating two e-beam tools alongside ASML’s second-generation prototype tool. TSMC’s Lin is blunt about which way he is leaning: “We need a robust working tool by 2013, and I personally have a preference for multiple e-beam direct writing systems,” he says.

For TSMC and others, EUV lithography is reaching the end of its rope. “There’s always a point when [customers] will lose faith if the delays go on too long,” concedes Cymer’s Lercel.

And they are rapidly approaching that point, says Mack. Many chipmakers are facing a crucial decision this year about which technology will see them through to smaller features and pitch sizes, thereby keeping Moore’s Law marching along. How fast it marches will depend in no small measure on what happens with EUV lithography.

This article originally appeared in print as “An Extremely Fine Line.”

This article is for IEEE members only. Join IEEE to access our full archive.

Join the world’s largest professional organization devoted to engineering and applied sciences and get access to all of Spectrum’s articles, podcasts, and special reports. Learn more →

If you're already an IEEE member, please sign in to continue reading.

Membership includes:

  • Get unlimited access to IEEE Spectrum content
  • Follow your favorite topics to create a personalized feed of IEEE Spectrum content
  • Save Spectrum articles to read later
  • Network with other technology professionals
  • Establish a professional profile
  • Create a group to share and collaborate on projects
  • Discover IEEE events and activities
  • Join and participate in discussions